Zuverlässigkeitsvorhersage elektronischer Komponenten unter mechanischer Belastung

Modelle, Standards, Vergleich, Softwaretools


Diplomarbeit, 2008

136 Seiten, Note: 1,0


Leseprobe


Inhalt

1 Einleitung
1.1 Hinführung

1.2 Ziele und Struktur
2 Hintergründe
2.1 Beispiel
2.2 Ausfallartenanalyse
2.2.1 Induktive Ausfallartenanalyse: FMEA, FMECA, ETA
2.2.2 Deduktive Ausfallartenanalyse: FTA
2.3 Systemzustandsanalyse
2.4 Ausfallratenanalyse

3 Mathematische Grundlagen
3.1 Statistische Beschreibung der Zuverlässigkeit
3.2 Verteilungsfunktionen
3.2.1 Exponentialverteilung
3.2.2 Weibullverteilung
3.2.3 Normalverteilung
3.2.4 Log-Normal-Verteilung
3.3 Von der Komponenten- zur Systemzuverlässigkeit
3.3.1 Serienschaltung
3.3.2 Parallelschaltung
3.3.3 Heiße, warme und kalte Redundanz
3.3.4 Weitere redundante Elementanordnungen

4 Die Komponentenausfallrate
4.1 Typische Modellannahmen
4.1.1 Die konstante Ausfallrate
4.1.2 Allgemeiner Modellaufbau
4.1.3 Darstellungsweisen der Ausfallrate
4.2 Gewinnung von Daten zur Zuverlässigkeitsmodellierung
4.2.1 Auswertung von Feld- oder Testdaten
4.2.2 Physikalisch motivierte Parametrisierung des Ausfallverhaltens
4.2.3 Bestimmung der Variablen
4.2.4 Korrelationsanalysen
4.3 Einfache Regressionsanalysen
4.3.1 Qualitative Faktoren
4.3.2 Quantitative Faktoren
4.3.3 Anwendung der Korrelationsanalyse
4.3.4 Lineare Regressionsanalyse
4.3.5 Temperaturabhängigkeit
4.3.6 Arrhenius-Funktion zur Beschreibung der Temperaturabhängigkeit
4.4 Multiple Regressionsanalyse
4.4.1 Anwendung auf multiplikative Ausfallratenmodelle
4.4.2 Anwendungsbeispiel
4.4.3 Auswertung des Beispiels
4.5 Anwendungsgebiete der Standards

5 Multiplikative Modelle
5.1 MIL-HDBK-217F (Notice 2)
5.1.1 Modellaufbau
5.1.2 Berücksichtigung mechanischer Belastung
5.2 SAE (PREL 5.0)
5.2.1 Modellaufbau
5.2.2 Berücksichtigung mechanischer Belastung
5.3 Telcordia (SR-332)
5.3.1 Modellaufbau
5.3.2 Berücksichtigung mechanischer Belastung
5.3.3 Kritikpunkte
5.4 CNET (RDF 2000/UTE C80-810/IEC 62380)
5.4.1 Entwicklungsgeschichte, Komponentengruppen, Einflussgrößen
5.4.2 Modellaufbau
5.4.3 Diskussion und Kritikpunkte
5.5 BT (HRD5) und Italtel (IRPH)
5.6 Weitere Standards

6 Additive Modelle
6.1 217PLUS
6.1.1 Zur Entwicklungsgeschichte
6.1.2 Die Methodik
6.1.3 Das Komponentenmodell
6.1.4 Das Systemmodell
6.1.5 Berechnung der Vibrationsbelastung
6.1.6 Deutung und Interpretation des Einflusses mechanischer Belastung
6.1.7 Kritikpunkte
6.2 FIDES
6.2.1 Hintergrund
6.2.2 Vorgehensweise
6.2.3 Physikalische Ausfallrate eines Bauteils
6.2.4 Die Berücksichtigung mechanischer Belastung
6.2.5 Kritikpunkte

7 Vergleich
7.1 Geschichtliche Hintergründe
7.2 Erfahrungsberichte zu modernen Standards
7.3 Vergleich anhand eines Beispiels
7.4 Software zur Zuverlässigkeitsvorhersage
7.5 Charakteristische Eigenschaften der beschriebenen Standards

8 Zusammenfassung und Ausblick
8.1 Zusammenfassung und Schlussfolgerungen
8.2 Ausblick

9 Anhang
9.1 Methode I des Telcordia SR-332 Standards
9.2 Methode II des Telcordia SR-332 Standards
9.3 Methode III des Telcordia SR-332 Standards
9.4 Die Berechnung der Ausfallrate eines Mikroschaltkreises nach RDF2000/IEC62380 (TR-62380, 2004)
9.5 Die Berechnung der Ausfallrate von bestückten Leiterplatinen nach RDF2000/IEC62380 (TR-62380, 2004)
9.6 Auszüge aus dem Standard 217Plus
9.7 Auszüge aus dem Standard FIDES
9.8 Softwarelösungen

Tabellenverzeichnis

Tabelle 3.1: Wichtige mathematische Zusammenhänge zur Zuverlässigkeitsberechnung (Meyna & Pauli, 2003)

Tabelle 4.1: Darstellungsweisen von Ausfallraten und deren Umrechnung (British BT, 1990)

Tabelle 4.2: Auszug aus «Semiconductor Industry Association Quarterly Report». Werte sind angegeben in PPM (parts per million) (Seidl & Garry, 1990). 45 Tabelle 4.3: Korellationsmatrix mit den Merkmalen [Abbildung in dieser Leseprobe nicht enthalten]

Tabelle 4.4: Exponentielle Regressionsfunktion und Lernfaktor

Tabelle 4.5: Fiktive Ausfallraten [Abbildung in dieser Leseprobe nicht enthalten] [PPM/Quartal] Digital Bipolarer Halbleiterelemente bezogen auf den Temperaturbereich [Abbildung in dieser Leseprobe nicht enthalten] und das Quartal [Abbildung in dieser Leseprobe nicht enthalten]

Tabelle 4.6: Der Zusammenhang zwischen [Abbildung in dieser Leseprobe nicht enthalten] - und [Abbildung in dieser Leseprobe nicht enthalten] -Werten

Tabelle 4.7: Messungen der Ausfallrate von Widerständen (Zhongsen Yan, 1992).

Tabelle 4.8: Die Lösungen der multiplen Regressionsanalyse (Zhongsen Yan, 1992).

Tabelle 5.1: Zeitliche Entwicklung des MIL-HDBK-217 Standards (Coppola, 1984; Michael G. Pecht, 1994)

Tabelle 5.2: Umweltfaktoren des MIL-HDBK-217 Standards (MIL-217, 1991).

Tabelle 5.3: Umweltfaktoren für bipolare Transistoren nach (MIL-217, 1991)

Tabelle 5.4. Die Umgebungsbedingungen des PREL 5.0 Standards und spezifische Werte für Kondensatoren (Binroth et al., 1984)

Tabelle 5.5: Umweltfaktoren des Telcordia SR-332 Standards

Tabelle 5.6: Vergleich der Umweltfaktoren der Standards Telcordia SR-332 und MIL-HDBK-217F

Tabelle 5.7: Mechanischer und klimatischer Umweltfaktor [Abbildung in dieser Leseprobe nicht enthalten] des HRD5- Standards (BT, 1990)

Tabelle 6.1: Standardswerte der Prozessfaktoren (RAIC, 2006, Table 2.4.1-1)

Tabelle 6.2: Die Stützstellen des in Abbildung 6.3 dargestellten Graphen

Tabelle 6.3: Proportionalität des Faktors P Mechanical (Belastungskategorien).

Tabelle 7.1: Übersicht über die Eigenschaften und Funktionen der beschriebenen Standards (ECSS, 2006; IEEE-Guide, 2003)

Tabelle 9.1: Parameter für die Zuverlässigkeitsberechnung von Kondensatoren nach 217Plus

Tabelle 9.2: Standardwerte für typische Umwelt und Einsatzprofile nach 217Plus (RAIC, 2006).

Tabelle 9.3: Durch den FIDES-Standard abgedeckte Komponenten (FIDES, 2004).

Tabelle 9.4: Sensitivitätskoeffizienten von Komponenten bezüglich Überbelastung (FIDES, 2004).

Tabelle 9.5:[Abbildung in dieser Leseprobe nicht enthalten] placement -Faktoren für Komponenten (FIDES, 2004).

Tabelle 9.6: Matrix zur Bestimmung des [Abbildung in dieser Leseprobe nicht enthalten]application -Faktors (FIDES, 2004).

Tabelle 9.7: Matrix zur Bestimmung des[Abbildung in dieser Leseprobe nicht enthalten]ruggedizing -Faktors (FIDES, 2004).

Tabelle 9.8: Bauteilspezifische Faktoren eines Keramik-Kondensators (FIDES, 2004).

Tabelle 9.9: Beschleunigungsfaktoren von Keramik-Kondensatoren (FIDES, 2004).

Abbildungsverzeichnis

Abbildung 1.1: Verteilung der Ausfälle von Air-Force Boardsystemen, die auf Komponentenversagen zurückzuführen sind (Slenski, 2002 Figure 1).

Abbildung 2.1: Die gebräuchlichsten technischen Risiko- und Zuverlässigkeitsanalysen (VDA, 1996).

Abbildung 2.2: Beispiel eines sicherheitskritischen Systems. Darstellungsweise nach DIN 19 227 Teil 2

Abbildung 2.3: Darstellungsweise einer System-FMEA (VDA, 1996).

Abbildung 2.4: Darstellung einer Ereignisablaufanalyse nach (DIN-25419, 1985).

Abbildung 2.5: Darstellung einer Fehlerbaumanalyse nach DIN-25424 (1981).

Abbildung 2.6: Schematische Darstellung einer Systemzustandsanalyse als Markow-Prozess (VDI-4008, 1999).

Abbildung 2.7: Top-down-Aufstellung des Zuverlässigkeits-Blockdiagramms eines komplexen Systems (Birolini, 1997).

Abbildung 3.1.(b): Gängige Verteilungsfunktionen zur Zuverlässigkeitsanalyse (Birolini, 1997).

Abbildung 3.2: Serienschaltung von n Widerständen im Blockdiagramm

Abbildung 3.3: Parallelschaltung von n Widerständen im Blockdiagramm

Abbildung 3.4: Ausfallrate eines Systems bestehend aus n Elementen mit konstanten Einzelausfallraten [Abbildung in dieser Leseprobe nicht enthalten]

Abbildung 3.5: Beispiele für redundante Anordnungen von Systemfunktionen bzw. Systemelementen in Funktions-Blockdiagrammen (Birolini, 1997).

Abbildung 4.1: Typischer Verlauf der Ausfallrate, dargestellt durch die Addition zweier Weibull-Verteilungen

Abbildung 4.2: Mögliche Abhängigkeiten zwischen den Merkmalen X und Y

Abbildung 4.3: Ausfallraten eines Bauteils in Abhängigkeit von der Anzahl an Funktionstests (Screening-Level).

Abbildung 4.4 Die logarithmierten Ausfallraten über der Zeit

Abbildung 4.5 Verlauf der Lernkurven [Abbildung in dieser Leseprobe nicht enthalten] für MOS Digital, Linear und Digital Bipolar Bauteile (Seidl & Garry, 1990).

Abbildung 4.6: Der zeitliche Verlauf, der nach den Lernfaktoren [Abbildung in dieser Leseprobe nicht enthalten] gewichteten Größen [Abbildung in dieser Leseprobe nicht enthalten].

Abbildung 4.7: Verlauf des Temperaturfaktors [Abbildung in dieser Leseprobe nicht enthalten].

Abbildung 6.1: Verteilung der Fehlerursachen elektronischer Systeme (RAC, 2000).

Abbildung 6.2: Schematische Vorgehensweise zur Abschätzung der Ausfallrate nach 217Plus (RAIC, 2006).

Abbildung 6.3: Grafische Darstellung eines Beispiels zur Spektralanalyse von zufälligen Vibrationsbeschleunigungen (RAC, 2000, Figure J-1).

Abbildung 6.4: Funktionsverlauf des Umweltfaktors in Abhängigkeit von Vibrationsbelastungen bei einer Temperaturdifferenz [Abbildung in dieser Leseprobe nicht enthalten]

Abbildung 6.5: Aufschlüsselung der ausgewerteten Komponenten nach Ländern für FIDES (MBDA, 2007).

Abbildung 6.6: Die Einflussfaktoren im FIDES-Standard (FIDES, 2004).

Abbildung 6.7: Der Einfluss mechanischer Vibrationsbelastung auf die Zuverlässigkeit am Beispiel drei verschiedener Komponenten nach FIDES

Abbildung 7.1: Ausfallraten [FIT] eines Keramikkondensators in Abhängigkeit mechanischer Belastung. Berechnet nach den Standards MIL-HDBK-217F, SR- 332, RDF2000, HRD5, 217Plus und FIDES

Abbildung 9.1: Die Struktur des Systems «ABC Computer System ()», dargestellt durch «Reliability Workbench V10.1.1» von Isograph

Abbildung 9.2: Systemstruktur der ITEM «Toolkit Version 7»-Software

Abbildung 9.3: Die Systemdarstellung (links) und ein Eingabefenster (rechts) der A.L.D. «RAM-Commander V7.0»-Software

Abbildung 9.4: Darstellung des Systems «Pentium Pro» durch die Software «Reliability Studio 2007» von RELEX

Abbildung 9.5: Auf der linken Seite des Fensters der «PRISM V1.2»-Software befindet sich die Systemdarstellung

Abbildung 9.6: Ein Eingabefenster der PRISM V1.2-Software zur Parameterfestlegung auf Komponentenebene

Abbildung 9.7: Ausfallraten eines Systems in Abhängigkeit von Umweltbedingungen nach MIL-HDBK-217F

Abbildung 9.8: Ausfallraten verschiedener Systemkomponenten bei der Umgebungsbedingung «AIF» (Airborne Inhabited Fighter).

Abbildung 9.9: Pareto-Darstellung aller Systemkomponenten mit Hilfe der Software «RAM-Commander V7.0» von A.L.D..

Abbildung 9.10: Die Abhängigkeit der Ausfallrate verschiedener Untersysteme von den Umgebungsbedingungen Ground Benign, Ground Fixed und Ground Mobile

Glossar

Abbildung in dieser Leseprobe nicht enthalten

Häufig benutzte Symbole

Abbildung in dieser Leseprobe nicht enthalten

1 Einleitung

1.1 Hinführung

Elektronische Geräte sind in unserem Leben allgegenwärtig. Dass diese Systeme eine Erleichterung darstellen, fällt besonders dann auf, wenn sie nicht mehr funktionieren.

Meist ist solch ein Ausfall aber nicht dem gesamten Gerät zuzuschreiben, sondern er beschränkt sich auf ein Element, welches seine Funktion nicht mehr erfüllt; sei es ein durchgeschlagener Kondensator oder eine Bus-Verbindung, die keine elektrische Leitfähigkeit mehr besitzt.

Die Ursachen für solche Ausfälle sind vielseitig: Mangelnde Qualitätskontrollen bei der Fertigung, Fehlbedienung durch den Benutzer, Überbelastung, hohe Luftfeuchte oder mechanische Belastung können die Lebensdauer einer Komponente beeinflussen. Wie Abbildung 1.1 zeigt, ist die hardwareabhängige Ausfallhäufigkeit eines Systems auch abhängig von den eingebauten Komponentenarten.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 1.1: Verteilung der Ausfälle von Air-Force Boardsystemen, die auf Komponentenversagen zurückzuführen sind (Slenski, 2002 Figure 1).

1.2 Ziele und Struktur

Die vorliegende Arbeit befasst sich mit der Zuverlässigkeitsvorhersage elektronischer Komponenten. Es sollen Verfahren vorgestellt werden, die beanspruchen, eine Vielzahl von möglichen Umweltbedingungen und deren Einfluss auf die Komponenten- und Systemzuverlässigkeit zu quantifizieren.

Besondere Aufmerksamkeit gilt der Berücksichtigung mechanischer Belastungen, die z.B. beim Start einer Rakete auftreten.

Als wichtige Grundlage gehen Zuverlässigkeitsvorhersagen in die in Kapitel 2 beschriebenen technischen Risikoanalysen ein, die Gefährdungen und Risiken minimieren sollen. Hier dienen Ausfallwahrscheinlichkeiten zur Quantifizierung der Sicherheit und Zuverlässigkeit von Hardware.

Die mathematische Definition der in Kapitel 2 erwähnten Ausfall- wahrscheinlichkeit und der Ausfallrate wird neben anderen, für das Verständnis notwendigen Grundlagen, in Kapitel 3 erläutert. Dazu werden für die Beschreibung der Ausfallrate typische Verteilungen aufgezeigt.

In Kapitel 4 wird die Exponentialverteilung, eine in Kapitel 3 vorgestellte Verteilung, als vereinfachende Modellannahme eingeführt. Sie wird von allen Standards zur Beschreibung der Ausfallrate angenommen. Zudem soll geklärt werden, wie die Ausfallrate und diverse Einflussfaktoren aus einer Sammlung von Feld- oder Testdaten gewonnen werden können.

Die in Kapitel 3 und Kapitel 4 beschriebenen Grundlagen sind nötig, um die in Kapitel 5 beschriebenen klassischen Standards deuten und interpretieren zu können. Hier sollen multiplikative Standards wie MIL-HDBK-217, SAE (PREL), Telcordia (SR-332), CNET (RDF2000), BT (HRD5) und Italtel (IRPH) vorgestellt und deren Aufbau detailliert dargelegt werden. Insbesondere wird beschrieben, wie mechanische Belastung in multiplikativen Standards und diskreten Faktoren berücksichtigt wird.

Kapitel 6 beschreibt drei moderne Standards, namentlich PRISM, 217PLUS und FIDES. Sie unterscheiden sich vor allem durch den additiven Aufbau gegenüber den in Kapitel 5 dargestellten multiplikativen Standards. Bei den Standards PRISM, 217PLUS und FIDES wird mechanische Belastung in Form stetiger Funktionen modelliert. Diese Funktionen werden aus den Modellen extrahiert und diskutiert.

In Kapitel 7 werden die in Kapitel 5 und Kapitel 6 beschriebenen klassischen und modernen Standards miteinander verglichen. Dazu dienen Fachartikel und ein exemplarischer Vergleich eines Keramikkondensators, der unter verschiedenen mechanischen Einflüssen steht.

Abgerundet wird die Arbeit durch Kapitel 8 mit einer Zusammenfassung der Arbeit und einem Ausblick auf zukünftige mögliche Arbeiten.

2 Hintergründe

Um das Thema greifbarer zu machen, ist es sinnvoll, Zuverlässigkeitsvorhersagen elektronischer Komponenten in einem erweiterten Kontext einzuordnen, um anschließend das Thema umso mehr auf einige wenige Fragestellungen zu beschränken.

Fundamentale Bedeutung haben Zuverlässigkeitsvorhersagen im Rahmen von technischen Risikoanalysen (VDA, 1996). Quantitative Aussagen über die Ausfallwahrscheinlichkeit bzw. Zuverlässigkeit dienen als Grundlage zur Berechnung des Risikos. Abbildung 2.1 fasst in der Industrie gängige Analyseformen zusammen.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 2.1: Die gebräuchlichsten technischen Risiko- und Zuverlässigkeitsanalysen (VDA, 1996).

Anzumerken ist dabei, dass die Verwendung einer Analyseform eine andere nicht ausschließt. So bilden Ausfallratenanalysen beispielsweise einen integralen Bestandteil von Fehlerbaumanalysen zur quantitativen Berechnung der Eintrittswahrscheinlichkeit eines unerwünschten Ereignisses.

In Abschnitt 2.1 wird zunächst ein Beispiel für ein System in der Verfahrenstechnik gegeben. Es beinhaltet mechanische, hydraulische und elektrische Elemente.

Entsprechend Abbildung 2.1 wird in Abschnitt 2.2 die Ausfallartenanalyse, in Abschnitt 2.3 die Systemzustandsanalyse und in Abschnitt 2.4 die Ausfallratenanalyse vorgestellt.

Es zeigt sich, dass in allen Fällen auch auf das Ausfallverhalten der Komponenten, insbesondere der elektronischen Bauteile als unterste Betrachtungseinheit eingegangen wird.

2.1 Beispiel

Das System in Abbildung 2.2 soll als Beispiel dienen, um die Vorgehensweisen der verschiedenen Analyseformen zu beschreiben.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 2.2: Beispiel eines sicherheitskritischen Systems. Darstellungsweise nach DIN 19 227 Teil 2.

Die Funktion dieses Systems soll sein, eine Flüssigkeit mit Hilfe von Brennstoff (z.B. Gas) soweit zu erhitzen, dass Dampf entsteht und dieser abgeführt werden kann.

Dabei kann der Prozess zur Dampferzeugung auch als Regelkreis verstanden werden, bei dem der Brennstoff, durch die Absperrarmatur (1) geregelt, zum Brenner (2) fließt und durch Flammenbildung die Flüssigkeit im Dampfkessel (3) erhitzt. Als Regelgröße dient das Signal des Drucksensors (4), welcher den erzeugten Dampfdruck P an den Regler (5) weiterleitet. Durch dieses Signal und mit Hilfe zusätzlicher Energie (z.B. elektrische) ergibt sich so eine Stellgröße für den Absperrmotor (6).

Um das Risiko einer Kesselexplosion durch Überschreiten des Druckes PKrit zu minimieren, seien zwei Absperrarmaturen (7) mit Sicherheitsfunktion eingebaut. Ab einem kritischem Druck PKrit öffnen sich automatisch die Armaturen und senken somit den Systemdruck. Bei dieser zweifachen (redundanten) Anordnung spricht man auch von «öffnender Redundanz» (ISO-3511-3, 1984).

2.2 Ausfallartenanalyse

Die Ausfallartenanalyse als ein Teil der Risikoanalyse lässt sich weiter untergliedern in induktive und in deduktive Analysen. Erstere befassen sich mit der Frage:

«Welche Fehler können auftreten und welche Konsequenzen haben diese?», wohingegen die deduktive Vorgehensweise sich mit unerwünschten Fehlern auseinandersetzt und nach den möglichen Ursachen fragt (VDA, 1996).

2.2.1 Induktive Ausfallartenanalyse: FMEA, FMECA, ETA

FMEA- (Failure Mode and Effect Analysis, zu Deutsch: Fehler-Möglichkeits- und Einfluss-Analyse) sind «systematische Untersuchungen der möglichen Ausfälle bezüglich ihrer Auswirkung auf die Funktionstüchtigkeit und Sicherheit des betreffenden Elements und der von diesem beeinflussten Elemente» (Birolini, 1991).

Die System-FMEA dient dazu, das zu analysierende System in geeignete Betrachtungseinheiten zu unterteilen. «Unter Berücksichtigung der jeweiligen Betriebsparameter werden hierfür alle denkbaren Fehlerarten und –ursachen ermittelt und deren Auswirkungen auf die jeweils übergeordneten Betrachtungseinheiten beschrieben. Dies ermöglicht die Festlegung wirkungsvoller Verbesserungs- und Kompensationsmaßnahmen» (VDI-4003, 2007). Die Darstellungsweise einer FME-Analyse wird in Abbildung 2.3 illustriert.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 2.3: Darstellungsweise einer System-FMEA (VDA, 1996).

FMECA (Failure Mode and Criticality Analysis) als Variation der FMEA erweitert die FMEA um die Bewertung von kritischen Zustände (VDI-4003, 2007).

Bei der Ereignisablaufanalyse (Event Tree analysis, ETA, Abbildung 2.4) geht man von einem Ereignis aus, welches das System beeinflussen kann, und untersucht die Folgen dieser Einwirkung. Ziel ist es, alle möglichen Ereignisse mit ihren Folgen auf das System in einem Ereignisablaufdiagramm darzustellen:

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 2.4: Darstellung einer Ereignisablaufanalyse nach (DIN-25419, 1985).

2.2.2 Deduktive Ausfallartenanalyse: FTA

Die Fehlerbaumanalyse (Fault Tree Analysis, FTA, Abbildung 2.5) ist eine deduktive Herangehensweise, welche eine systematische Untersuchung der Auswirkung von Ausfällen und Fehlern erlaubt. Dabei geht man vom unerwünschten Ereignis aus und setzt es u.a. mit UND- bzw. ODER-Verknüpfungen interner Ausfälle oder auch externer Einflüsse zusammen.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 2.5: Darstellung einer Fehlerbaumanalyse nach DIN-25424 (1981).

Fehlerbaumanalysen haben gegenüber induktiven Vorgehensweisen den Vorteil, dass sie auch Situationen berücksichtigen, in welchen das unerwünschte Ereignis durch das Zusammenwirken mehrerer Ausfälle oder Fehler zustande kommt. Sie sind aber weniger systematisch und geben weniger Gewähr, dass alle Ausfall- bzw. Fehlerarten berücksichtigt worden sind (VDI-4003, 2007).

Unter Verwendung der Ereigniswahrscheinlichkeiten der Ausfälle oder Einflüsse, dargestellt durch die Verzweigungswahrscheinlichkeiten λ i (t) , kann bei der Ereignisablaufanalyse wie der Fehlerbaumanalyse eine Eintrittswahrscheinlichkeit des unerwünschten Fehlers berechnet werden (DIN-25419, 1985; DIN-25424, 1981).

2.3 Systemzustandsanalyse

Die Systemzustandsanalyse wird üblicherweise als Markow Modell veran- schaulicht, dessen dargestellter Prozess zu der Gruppe der so genannten regenerativen stochastischen Prozesse gehört (VDI-4008, 1999). Dabei werden in einem Diagramm die Zustände von Komponenten, die entweder ausgefallen oder in Betrieb sind, als Kreise dargestellt. Der Wechsel zwischen zwei Zuständen wird durch einen Übergangsbogen, der mit einem Richtungspfeil versehen ist, repräsentiert. Durch das Markow-Modell lässt sich die gesamte Konfiguration eines fehlertolerierenden Systems in einer einzigen Grafik darstellen (siehe Abbildung 2.6).

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 2.6: Schematische Darstellung einer Systemzustandsanalyse als Markow-Prozess (VDI- 4008, 1999).

Die quantitative Wahrscheinlichkeit eines bestimmten Zustands errechnet sich aus den Übergangsraten vom einen zum anderen Zustand. Die Übergangsraten λ bzw. μ können konstant («Memory-Less»), aber auch zeitabhängig sein.

Der Vorteil dieser Darstellungsart ist, dass ebenso Zustände eines funktionierenden Systems darstellbar sind. «Somit ist es auch möglich, durch eine Addition der Wahrscheinlichkeiten dieser Zustände entweder die Systemzuverlässigkeit (Reliability) oder die Systemverfügbarkeit (Availability) als eine Funktion der Zeit zu berechnen» (Börcsök, 2004).

2.4 Ausfallratenanalyse

Die Ausfallratenanalyse (siehe Abbildung 2.7), auch vorausgesagte Zuverlässigkeit oder Zuverlässigkeitsvorhersage genannt, errechnet die Systemzuverlässigkeit aus den Ausfallraten der einzelnen Elemente und deren Anordnung (Birolini, 1991). Die mathematische Erklärung der Ausfallrate erfolgt in Kapitel 3.

Für die Betrachtungsweise ist es sinnvoll, das technische System schrittweise zuerst in Anlagen, danach in Baugruppen und Bauteilen aufzugliedern, um letztendlich an die Elementebene zu gelangen.

Die Ausfallrate gibt das Verhältnis aus der Ausfallanzahl im Intervall [t, t+dt] zur Anzahl der Betrachtungseinheiten, die zur Zeit t noch nicht ausgefallen sind, wieder. In den vorherrschenden Modellen wird die Ausfallrate als zeitlich nicht veränderbar gesetzt, was die Berechnungen erheblich vereinfacht, siehe Abschnitt 4.1.1.

Die Parts-Count-Methode verkürzt die Berechnung zusätzlich, indem sie die Anordnung der Elemente als Serienschaltung betrachtet, siehe auch Abschnitt

3.3.1. Außerdem wird eine Unempfindlichkeit der Komponenten gegenüber äußeren Einflüssen vorausgesetzt, d.h. es gibt für eine Komponente nur eine konstante Ausfallrate. Das ermöglicht eine schnelle, aber grobe Abschätzung der Systemausfallrate.

Im Gegensatz dazu werden bei der Parts-Stress-Methode Umwelteinflüsse und Elementanordnung berücksichtigt. Dies erhöht den Rechenaufwand, bietet aber in den meisten Fällen ein genaueres Ergebnis.

Die Ausfallrate eines neu entwickelten Bauteils kann letztgültig nur experimentell oder durch Simulationen bestimmt werden. Dennoch gibt es auch andere Methoden, wie beispielsweise das Abschätzen durch Erfahrungswerte oder der Vergleich mit ähnlichen Bauteilen, siehe Kapitel 4.

Typische Ausfallraten für bekannte und in der Industrie oft verwendete Bauteile sind in speziellen Handbüchern aufgeführt und stammen meist aus empirischen Untersuchungen. Die wichtigsten Handbücher für elektronische und elektromechanische Komponenten sind: IEC 61709, MIL-HDBK-217 und SN29500, siehe Kapitel 5.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 2.7: Top-down-Aufstellung des Zuverlässigkeits-Blockdiagramms eines komplexen Systems (Birolini, 1997).

3 Mathematische Grundlagen

In diesem Kapitel sollen zuerst mathematische Grundlagen erklärt werden, die für das Verständnis zur Beschreibung der Zuverlässigkeit nötig sind (Abschnitt 3.1). Die Ausfall- und Überlebenswahrscheinlichkeit, die Ausfalldichte, die Ausfallrate und der Erwartungswert werden mathematisch definiert.

Anschließend wird in Abschnitt 3.2 auf das zeitliche Verhalten der Zuverlässigkeit eingegangen, indem gängige Formen der Ausfallrate beschrieben und diskutiert sowie in Abbildung 3.1. dargestellt werden.

In Abschnitt 3.3 wird auf die Verschaltungsweise von Komponenten eingegangen, die einen entscheidenden Einfluss auf die Systemzuverlässigkeit haben kann. Dabei unterscheidet man hauptsächlich die serielle und parallele Art der Verschaltung.

3.1 Statistische Beschreibung der Zuverlässigkeit

Üblicherweise wird die Zuverlässigkeit als Ausfallrate h (t) ausgedrückt. Sie gibt an, wie hoch die Wahrscheinlichkeit ist, dass eine Komponente, die bis zum Zeitpunkt

t funktioniert, im Zeitraum [ t, t + d t ]ausfällt. Sofern die Ausfallrate als konstant angenommen wird, so ergibt sich der Erwartungswert als[Abbildung in dieser Leseprobe nicht enthalten]und stellt somit den zeitlichen Mittelwert bis zum Ausfall (Mean Time to Failure, MTTF) bzw. bis zur nächsten Reparatur (Mean Time between Failures, MTBF) dar.

Die Ausfallrate ist nicht zu verwechseln mit der Ausfalldichte f (t) . Diese gibt an, wie hoch die Wahrscheinlichkeit ist, dass eine Komponente im Zeitraum [ t, t + dt ] ausfällt. Allerdings wird dabei nicht direkt berücksichtigt, ob die Komponente bereits bis zum Zeitpunkt t ausgefallen ist oder nicht.

Die Ausfalldichte kann als Wahrscheinlichkeitsdichtefunktion (probability density function) über [Abbildung in dieser Leseprobe nicht enthalten] aufgefasst werden. Damit stehen alle Wahrscheinlichkeits- dichtefunktionen der mathematischen Wahrscheinlichkeitstheorie über halbunendlichen Intervallen zur Verfügung, um Zuverlässigkeitsvorhersagen zu bilden.

Will man nun wissen, wie hoch die Wahrscheinlichkeit ist, dass eine Komponente bis zum Zeitpunkt t ausfällt, so lässt sich dies errechnen, indem man die Ausfalldichten über das Zeitintervall von t = 0 bis t integriert, [Abbildung in dieser Leseprobe nicht enthalten]. Diese Wahrscheinlichkeit nennt man auch Ausfallwahrscheinlichkeit (failure probability).

Die Überlebenswahrscheinlichkeit (survival probability) bildet das Gegenstück zur Ausfallwahrscheinlichkeit. Sie gibt an, wie hoch die Wahrscheinlichkeit ist, dass eine Komponente bis zum Zeitpunkt t überlebt und ist damit S (t) = 1- F (t) .

Man beobachtet allgemein, dass sich z.B. aus S (t) die Verteilungen F (t) , f (t) und h (t) generieren lassen. Das gilt mit sinnvollen mathematischen Forderungen in analoger Weise für alle anderen Verteilungen ebenfalls.

Tabelle 3.1 gibt einen Überblick über die mathematischen Definitionen und Zusammenhänge.

Tabelle 3.1: Wichtige mathematische Zusammenhänge zur Zuverlässigkeitsberechnung (Meyna & Pauli, 2003).

Abbildung in dieser Leseprobe nicht enthalten

3.2 Verteilungsfunktionen

Durch mathematische Überlegungen und Vergleich mit empirischen Daten, haben sich einige in Abbildung 3.1. mathematisch beschriebenen und dargestellten Verteilungsfunktionen besonders bewährt. Sie werden nachfolgend im Einzelnen vorgestellt. Es zeigt sich anhand von Abbildung 3.1., dass für die meisten der Verteilungen eine der Darstellungen nach Abschnitt 3.1 besonders gut geeignet ist, z.B. die Exponentialfunktion für die Ausfallrate.

3.2.1 Exponentialverteilung

Die Exponentialfunktion als Überlebenswahrscheinlichkeit liefert eine konstante Ausfallrate h(t)=λ. Diese Eigenschaft erleichtert Berechnungen zur Zuverlässigkeit (siehe Abschnitt 3.3) durch folgende Punkte (Birolini, 1997):

- Gedächtnislosigkeit: Eine momentan funktionierende Komponente «weiß» nicht, wie lange sie schon gearbeitet hat. Das bedeutet, dass sie im nächsten Zeitintervall δt mit gleicher Wahrscheinlichkeit ausfallen kann wie zuvor. Die Ausfallwahrscheinlichkeit ist konstant und beträgt: λδt.
- Konstante Ausfallrate auf Systemebene: Die Ausfallrate von Systemen mit seriell angeordneten Elementen errechnet sich aus der Summe der Einzelausfallraten. Sie ist demnach auch konstant. (siehe Abschnitt 3.3.1)

Seit den Studien (Davis, 1952), (Epstien & Sobel, 1953) und (Epstien & Sobel, 1954) über die exponentielle Verteilung der Überlebenswahrscheinlichkeiten von elektronischen Bauteilen ist diese Art der Verteilung am weitesten verbreitet. Doch selbst aktuelle Studien (Murphy, Carter, & Brown, 2002) zeigen, dass die Exponentialverteilung für eine Vielzahl von elektronischen Systemen wie Radar-, Flugzeug-, Raumfahrt-, Kommunikations- oder Computersystemen angenommen werden kann.

Dennoch ist diese Art der Verteilung nicht passend, um Verschleißerscheinungen, Korrosion oder Materialermüdung abzubilden. Diese Ausfallursachen lassen sich mit anderen Formen besser beschreiben.

3.2.2 Weibullverteilung

Die Weibull-Verteilung als Überlebenswahrscheinlichkeit kann auch als «Verallgemeinerung der Exponentialverteilung» (Birolini, 1997) betrachtet werden. Mit den Werten b=1 und T=1/λist sie eine Exponentialverteilung.

Diese Art der Verteilung wurde bereits 1951 von W. Weibull im Zusammenhang mit der Untersuchung von Alterungserscheinungen an Metallen für sinnvoll erachtet (Weibull, 1951). Für Werte b>1 ergeben sich steigende Ausfallraten, die auf Materialermüdung oder Verschleiß zurückgeführt werden können. Fallende Ausfallraten werden hervorgerufen für Werte b<1. Diese Konstellation ermöglicht die mathematische Beschreibung von sog. «Frühausfällen», deren Ursache häufig mangelnde Fertigungs- und Montagequalität sind.

Somit lassen sich empirische Untersuchungen über den Verlauf der Ausfallrate bei Produkten nachbilden. Sie ergaben eine stark abfallende Ausfallrate am Anfang, einem nahezu konstanten Mittelteil und einen leicht steigenden Verlauf am Ende der Laufzeit. Diese Eigenschaft nahezu jeder Komponente und jedes Systems ist auch unter dem Namen «Badewannenkurve» bekannt und als Überlagerung mehrerer Ausfallursachen zu deuten, vgl. Abschnitt 4.1.1 (Meyna & Pauli, 2003).

Für Werte b>3 ähnelt der Verlauf der Weibullverteilung stark dem der Normalverteilung. Für b>5 wird die Funktion allerdings rechtsschief.

Die Vorteile dieser Verteilung liegen vor allem in der zufriedenstellenden Genauigkeit von Ausfallanalysen und Ausfallvorhersagen bei der Verwendung sehr kleiner Datensätze (Abernethy, 1998). Zudem lassen sich durch den Funktionsverlauf des Graphen sehr einfach einzelne Ausfallursachen deuten und interpretieren (Nelson, 1967).

Meeker (1988), Kececioglu (1991) und Abernethy (1998) diskutierten den Nutzen dieser Verteilung um Ausfallraten von Elektronenröhren, Kondensatoren aber auch Kugellagern und auslaufenden Batterien zu modellieren. In (Harter & Moore, 1976) ist eine umfangreiche Referenzliste auf Arbeiten zu finden, die sich mit der Anwendung der Weibull-Verteilung auf diverse technische Bauteile auseinander gesetzt haben.

3.2.3 Normalverteilung

Die Normalverteilung darf streng genommen nicht zur Beschreibung der Verteil- ungsdichte dienen. Schließlich erfüllt sie nicht die Voraussetzung F (t = 0) = 0 .

Allerdings kann der Rechenfehler mit der Bedingung μ>σ sehr klein und je nach Anwendung vernachlässigt werden. So ist z.B. F(t=0=0,00006) für μ=σ2(Meyna & Pauli, 2003).

Charakteristisch für die Verteilung der Dichtefunktion der Normalverteilung ist die symmetrische Glockenkurve mit dem Erwartungswert [Abbildung in dieser Leseprobe nicht enthalten] und der Varianz [Abbildung in dieser Leseprobe nicht enthalten] . Die Normalverteilung spielt im zentralen Grenzwertsatz, dem Satz von De Moivre-Laplace eine wichtige Rolle. Er besagt, dass «die Verteilungs- funktion der Summe einer großen Anzahl statistisch unabhängiger Zufallsgrößen unter relativ allgemeinen Bedingungen gegen eine Normalverteilung konvergiert» (Birolini, 1991). Durch die Normalverteilung lassen sich also Ausfälle darstellen, die rein zufällig ablaufen und deren Ausfalldichte symmetrisch verteilt ist.

Nach Nelson (1990) ist die Normalverteilung auch geeignet, um physikalische System- oder Komponenteneigenschaften wie Belastung, Festigkeit, Dehnung und Stoßfestigkeit zu modellieren.

3.2.4 Log-Normal-Verteilung

Die Log-Normal-Verteilung erfüllt die Voraussetzung F (t = 0) = 0 . Sie verwendet die gleichen Parametersymbole [Abbildung in dieser Leseprobe nicht enthalten] und [Abbildung in dieser Leseprobe nicht enthalten], die aber, im Gegensatz zur

Normalverteilung, komplizierter zu interpretieren sind (Meyna & Pauli, 2003).

Diese Art der Verteilung ist geeignet zur Beschreibung von Reparaturzeiten, aber auch als Verteilungsfunktion der Lebensdauer von Bauteilen im Falle zeitraffender Zuverlässigkeitsprüfungen, sowie überall dort, «wo die Zusammenwirkung einer großen Anzahl statistisch unabhängiger Zufallsgrößen sich multiplikativ auswirkt» (Birolini, 1991).

Anders als die Weibullverteilung ist die Log-Normal-Verteilung weit verbreitet, um eine stark ansteigende Ausfallrate zu Beginn und eine schwach abfallende Ausfallrate im weiteren Verlauf abzubilden. Fehlerursachen wie Materialermüdung von elektronischen Komponenten oder Isolatoren können damit beschrieben werden (Kapur & Lamberson, 1977; Nelson, 1990; Yang, 2007). Aber auch Verteilungen von Rissen in Materialien können durch diese Funktion dargestellt werden (Kao, 1965).

Ein Überblick über die existierende Literatur, die sich mit dem Thema der parametrischen Darstellung von Fehlerursachen beinhaltet, zeigt deutlich die häufige Verwendung der oben genannten Verteilungsarten. In (Birnbaum, 1969) wird diese Tatsache kritisiert und neue Darstellungsweisen zur Beschreibung von beispielsweise Ausfällen durch Bruch oder Riss vorgestellt. Auch in (Lawless, 1983) wird die oft verwendete Exponential- oder Weibullverteilung als zu ungenau bemängelt und stattdessen andere Verteilungen vorgestellt.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 3.1.(a): Gängige Verteilungsfunktionen zur Zuverlässigkeitsanalyse (Birolini, 1997).

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 3.1.(b): Gängige Verteilungsfunktionen zur Zuverlässigkeitsanalyse (Birolini, 1997).

3.3 Von der Komponenten- zur Systemzuverlässigkeit

Alle vorgestellten Standards beinhalten auch (einfache) Modelle zur Vorhersage von Systemausfällen. Da teilweise erst auf Systemebene u.a. mechanische Belastung berücksichtigt wird, werden diese Modellierungen ebenfalls nachvollzogen.

Typische Annahmen für Systeme sind die Serienschaltung von Komponenten (Abschnitt 3.3.1) und die Parallelschaltung von Komponenten (Abschnitt 3.3.2).

3.3.1 Serienschaltung

Will man die Ausfallrate [Abbildung in dieser Leseprobe nicht enthalten] eines Systems bestimmen, so ist dabei zunächst die Anordnung der Komponenten von Bedeutung. Dazu ist das Analogon eines Widerstandsnetzwerks hilfreich, siehe Abbildung 3.2.

Betrachtet man ein Widerstandsnetzwerk, bestehend aus einer Serienschaltung von n Widerständen, so ist das System nur dann funktionsfähig, wenn alle Widerstände funktionieren.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 3.2: Serienschaltung von n Widerständen im Blockdiagramm.

Fehlt einer der Widerstände oder ist ein Element defekt, so kann kein Strom I durch das System fließen. In der Analogie spricht man von einer Serienschaltung von n Komponenten, falls der Ausfall einer der Komponenten zum Ausfall des gesamten Systems, bestehend aus n-Komponenten, führt.

Die Wahrscheinlichkeit, dass zum Zeitpunkt t ein beliebiges Bauelement funktioniert ist

Abbildung in dieser Leseprobe nicht enthalten

Allgemein gilt für die Funktionswahrscheinlichkeit des Systems [Abbildung in dieser Leseprobe nicht enthalten] (t) bei n

Bauelementen:

Abbildung in dieser Leseprobe nicht enthalten

Gemäß Abbildung 3.1. ist bei einer Exponentialverteilung die Überlebenswahr- scheinlichkeit

Abbildung in dieser Leseprobe nicht enthalten

Somit gilt [Abbildung in dieser Leseprobe nicht enthalten] [Abbildung in dieser Leseprobe nicht enthalten]

Damit gilt für die Ausfallrate [Abbildung in dieser Leseprobe nicht enthalten]

Abbildung in dieser Leseprobe nicht enthalten

und die mittlere Betriebszeit [Abbildung in dieser Leseprobe nicht enthalten] des gesamten Systems

Abbildung in dieser Leseprobe nicht enthalten

Unter der Annahme der Exponentialverteilung ergibt sich somit die Ausfallrate des Systems aus der Addition der Komponentenausfallraten. Der Erwartungswert wird gebildet aus dem Kehrwert der Ausfallrate (Meyna & Pauli, 2003).

3.3.2 Parallelschaltung

Falls das Funktionieren des Systems bereits durch mindestens eine Komponente gegeben ist, so kann die Parallelanordnung von n Widerständen als Analogon herangezogen werden, siehe Abbildung 3.3.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 3.3: Parallelschaltung von n Widerständen im Blockdiagramm.

Bei einer Parallelanordnung kann ein Strom I bereits fließen, wenn einer der Widerstände vorhanden ist.

Für die Ausfallwahrscheinlichkeit [Abbildung in dieser Leseprobe nicht enthalten] eines parallelen Systems gilt

Abbildung in dieser Leseprobe nicht enthalten

Für den Erwartungswert gilt (Birolini, 1997):

Abbildung in dieser Leseprobe nicht enthalten

Verwendet man Komponenten mit gleichen Ausfallraten [Abbildung in dieser Leseprobe nicht enthalten]vereinfacht sich die Berechnung zu (Meyna & Pauli, 2003)

Abbildung in dieser Leseprobe nicht enthalten

Damit ergibt sich für die Ausfallrate des Systems:

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 3.4: Ausfallrate eines Systems bestehend aus n Elementen mit konstanten Einzelausfallraten [Abbildung in dieser Leseprobe nicht enthalten] .

Im Beobachtungsrahmen [Abbildung in dieser Leseprobe nicht enthalten] gilt als Näherungslösung [Abbildung in dieser Leseprobe nicht enthalten](Meyna & Pauli, 2003), was sich aus einer Taylor-Entwicklung der Gleichung (3.10) um [Abbildung in dieser Leseprobe nicht enthalten] ergibt.

3.3.3 Heiße, warme und kalte Redundanz

Neben der eben beschriebenen grundsätzlichen Systeme, bei denen die Redundanzelemente von Anfang an der gleichen Arbeitsbelastung wie das arbeitende Element ausgesetzt sind (He iße Redundanz), unterscheidet Birolini (1991) noch zwei weitere Arten:

1. Warme Redundanz (leicht belastete Redundanz): «Das Redundanzelement ist bis zum Ausfall des arbeitenden Elements oder bis zu seinem eigenem Ausfall kleineren Belastungen ausgesetzt.»
2. Kalt e R edundanz (Standby-, unbelastete Redundanz): «Das Redundanz- element ist bis zum Ausfall des arbeitenden Elements keiner Belastung ausgesetzt.»

Auf diese Schaltungsmöglichkeiten soll aber hier nicht weiter eingegangen werden.

3.3.4 Weitere redundante Elementanordnungen

Das Kapitel soll mit einigen weiteren Beispielen redundanter Elementanordnung abgeschlossen werden, vergleiche Abbildung 3.5 (Birolini, 1997):

(a) Parallel Schaltung: Jedes parallel verschaltete Bauteil wird gleich belastet, heiße Redundanz, siehe Abschnitt 3.3.2.

(b) Prinzip-Redundanz in Parallelschaltung, Wirkprinzip von A verschieden von B: Eine der beiden Komponenten wird weniger stark belastet wie die andere. Hier spricht man von warmer oder kalter Redundanz.

(c) Quartett-Schaltung: Zwei in Reihe geschaltete Elemente ergeben ein Teilsystem, welches wiederum mit dem gleichen Teilsystem parallel verschaltet ist.

(d) Quartett-Kreuz-Schaltung: die beiden parallel verschalteten Elemente A werden mit den beiden ebenso parallel verschalteten Elementen B in Reihe verbunden.

(e) Auswahlredundanz: Mindestens k der n parallel verschalteten Elemente müssen korrekt funktionieren. Es gilt dann für die Funktions- oder Überlebenswahrscheinlichkeit des Systems die Bernoulli-Formel (Birolini, 1997):

Abbildung in dieser Leseprobe nicht enthalten

(f) Vergleichsredundanz: Dieses System fällt aus, falls k der n Elemente ausfallen oder k Elemente unterschiedliche Signale (definiert durch einen Toleranzbereich) melden.

Anwendung findet dies beispielsweise bei der Verschaltung von sicherheitskritischen (Höhen- oder Geschwindigkeits-) Sensoren im Flugzeug, auf die der Boardcomputer während der automatischen Flugzeugregelung (Autopilot) angewiesen ist.

Abbildung in dieser Leseprobe nicht enthalten

4 Die Komponentenausfallrate

Um die Systemausfallrate berechnen zu können, sind neben dem Wissen über die logische Anordnung der Komponenten (vgl. Abschnitt 3.3) vor allem die Ausfallraten der einzelnen Elemente (in unserem Fall elektronische Komponenten) von grundlegender Bedeutung.

Bevor in den Kapiteln 5 und 6 dieser Arbeit die Modelle gängiger Standards zur Zuverlässigkeitsvorhersage erklärt werden, soll in Abschnitt 4.1 zunächst aufgezeigt werden, was die Modellannahmen dieser Standards sind und wie die Modelle typischerweise mathematisch beschrieben werden.

Abschnitt 4.2 zeigt auf, welche Möglichkeiten es gibt, Werte für die Modellfaktoren zu gewinnen. Dabei wird insbesondere auf die Auswertung von Feld- und Testdaten (siehe Abschnitt 4.2.1) und die physikalische Fehlermodellierung (siehe Abschnitt 4.2.2) eingegangen. Zudem werden die statistisch auszuwertenden Faktoren der Modelle gruppiert (siehe Abschnitt 4.2.3) und die Korrelationsanalyse als Qualitätskriterium der Regressionsanalysen vorgestellt (siehe Abschnitt 4.2.4).Korrelationsanalysen werden auch oft vor Regressionsanalysen eingesetzt, um geeignete unabhängige und abhängige Größen zu identifizieren.

In Abschnitt 4.3 wird anhand praktischer Beispiele erklärt, wie üblicherweise bei linearen Regressionsanalysen vorgegangen wird. Es werden qualitative Faktoren (siehe Abschnitt 4.3.1) und quantitative Faktoren (siehe Abschnitt 4.3.2) bestimmt, sowie Korrelationsanalysen durchgeführt (siehe Abschnitt 4.3.3). Zur Quantifizierung der Temperaturabhängigkeit, festgestellt in Abschnitt 4.3.5, wird in Abschnitt 4.3.6 eine Arrhenius-Abhängigkeit herangezogen, für die die Anpassungsfaktoren ermittelt werden.

Gewonnen werden können die gesuchten Variablen entweder aus einer oder mehreren linearen Regressionsanalysen oder aus einer multiplen Regressionsanalyse. Diese letztgenannte Analyseform soll anhand eines Beispiels in Abschnitt 4.4 erklärt werden.

In Abschnitt 4.5 werden die Anwendungsgebiete und Grenzen der Handbücher umrissen, die hauptsächlich auf den Eigenschaften der ausgewerteten, empirischen Daten beruhen.

4.1 Typische Modellannahmen

Alle in Kapitel 5 und 6 dargestellten Standards zur Zuverlässigkeitsvorhersage nehmen einen konstanten Wert zur Beschreibung der Ausfallrate an (vgl.

Abschnitt 4.1.1). Auf dieser Annahme basieren alle multiplikativen Modelle, deren grundsätzlicher Aufbau in Abschnitt 4.1.2 erklärt wird. Üblicherweise werden Ausfallraten angegeben in FIT (Failure in Time), aber wie Abschnitt 4.1.2 zeigt, lässt sich die Ausfallrate ebenso in anderen Einheiten angeben.

4.1.1 Die konstante Ausfallrate

Die konstante Ausfallrate entspricht einer exponentiell verteilten Ausfall- wahrscheinlichkeit, siehe Abbildung 3.1..Dies ist eine stark vereinfachende Annahme, denn: «Aus Lebensdauertest und Ausfällen im Feld lässt sich häufig ein bestimmtes zeitliches Verhalten der Ausfallrate ermitteln. Das grundlegende Schema stellt die sogenannte „Badewannenkurve“ dar» (Meyna & Pauli, 2003).

Beschrieben werden kann dieser Verlauf beispielsweise durch die Addition zweier Weibullverteilungen mit den Parametern [Abbildung in dieser Leseprobe nicht enthalten] und [Abbildung in dieser Leseprobe nicht enthalten] siehe Abbildung 4.1.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 4.1: Typischer Verlauf der Ausfallrate, dargestellt durch die Addition zweier Weibull- Verteilungen.

Birolini (1991) teilt die Badewannenkurve in drei Bereiche auf:

- Bereich I: Phase der Frühausfälle (Frühausfallphase, burn in-Phase): λ (t) nimmt rasch ab. Ausfälle in dieser Phase lassen sich in der Regel auf Materialschwächen, Qualitätsschwankungen in der Fertigung oder Anwendungsfehler (Dimensionierung, Prüfung, Bedienung usw.) zurückführen.
- Bereich II: Phase der Ausfälle mit konstanter Ausfallrate: λ (t) ist näherungsweise konstant und gleich λ. In dieser Phase treten die Ausfälle meistens plötzlich und rein zufällig auf.
- Bereich III: Phase der Verschleißausfälle (Spätausfallphase): λ (t) steigt mit zunehmender Betriebszeit immer schneller an. Ausfälle in dieser Phase sind auf Alterung, Abnützung, Ermüdung usw. zurückzuführen.

Wie in Abbildung 4.1 zu sehen ist, lässt sich nur der zweite Bereich als annähernd konstant darstellen. Diese Vereinfachung wird in vielen Studien kritisiert, vgl. (Jones & Hayes, 2001; Wong, 1989, 1995). Frühausfälle werden lediglich in den Standards SR-332 und PRISM/127Plus durch einen Faktor berücksichtigt.

4.1.2 Allgemeiner Modellaufbau

Alle Handbücher haben einen oder mehrere der folgenden Punkte gemein (IEEE- Guide, 2003):

- Tabellen mit bauteilspezifischen Ausfallraten, der sich in Betrieb und/oder nicht im Betrieb befindlichen Komponenten.
- Multiplikative Faktoren, die diverse Umweltbedingungen widerspiegeln sollen.
- Multiplikative Faktoren, die eine Umrechnung der Ausfallrate zwischen belasteten und unbelasteten Bauteilen ermöglichen soll.

Das erste Handbuch zur Zuverlässigkeitsvorhersage, MIL-HDBK-217, das erstmals 1960 erschienen ist (vgl. Abschnitt 5.1), basierte auf gesammelten Feld-Daten.

Unternehmen und Organisationen, wie SAE (Society of Automotive Engineers) vgl. Abschnitt 5.2, Bell Communications Research (heute: Telcordia) vgl. Abschnitt 5.3, Centre National d'Etudes des Telecommunications (CNET, heute: France Télécom R&D) vgl. Abschnitt 5.4, British Telecom vgl. Abschnitt 5.5 oder Siemens AG vgl. Abschnitt 5.6, bemängelten die Aussagekraft des Handbuchs und entschieden sich, für ihre Produkte und Systeme besser passende Standards zu entwickeln.

In den meisten Fällen adaptierten sie jedoch die MIL-HDBK-217-Methode: Sie verwendeten ebenfalls Felddaten und das gleiche mathematische (multiplikative) Modell als Grundlage. Es nimmt einen linearen Zusammenhang der Form

Abbildung in dieser Leseprobe nicht enthalten

wobei

[Abbildung in dieser Leseprobe nicht enthalten] die vorhergesagte Ausfallrate des Elements (predicted failure rate),

[Abbildung in dieser Leseprobe nicht enthalten] die natürliche Ausfallrate oder Grundausfallrate (generic failure rate) und

[Abbildung in dieser Leseprobe nicht enthalten] für Anpassungsfaktoren (Pi-Factors) [Abbildung in dieser Leseprobe nicht enthalten] steht, die z.B. Bauteilqualität, mechanische Belastung, Temperatur, Luftfeuchte oder Bauform als Variable berücksichtigen. Es ist zu beachten, dass jeder Faktor nur von einer Variablen abhängt, z.B. hat man für einen temperaturabhängigen Einflussfaktor[Abbildung in dieser Leseprobe nicht enthalten].

Moderne Standards zur Zuverlässigkeitsvorhersage, wie PRISM/217Plus oder FIDES, verwenden additive Modelle zur Zuverlässigkeitsvorhersage. Diese werden im Kapitel 6 beschrieben.

4.1.3 Darstellungsweisen der Ausfallrate

Nach Abschnitt 3.1 ergibt sich die konstante Ausfallrate aus dem Verhältnis:

Abbildung in dieser Leseprobe nicht enthalten

[Abbildung in dieser Leseprobe nicht enthalten]: MTTF bzw. MTBF.

Üblicherweise wird die Ausfallraten in FIT (Failure in Time), Fehler oder Ausfälle in 109 h angegeben. Aber wie Tabelle 4.1 zeigt, gibt es auch andere Darstellungsweisen der Ausfallrate.

Tabelle 4.1: Darstellungsweisen von Ausfallraten und deren Umrechnung (British BT, 1990).

Abbildung in dieser Leseprobe nicht enthalten

4.2 Gewinnung von Daten zur Zuverlässigkeitsmodellierung

Nach (IEEE-Guide, 2003) wird die Komponentenzuverlässigkeit üblicherweise gewonnen aus der Auswertung von Feld- oder Testdaten (siehe Abschnitt 4.2.1), aus der physikalischen Fehlermodellierung (physics of failure) (siehe Abschnitt 4.2.2) oder mit Hilfe sog. Handbüchern, deren typischer Aufbau in Abschnitt 4.1.2 bereits gezeigt wurde.

Handbücher zur Zuverlässigkeitsvorhersage listen Basis- oder Grundausfallraten von (elektronischen) Komponenten auf, die mit Hilfe von empirischen Feld- und/oder Testdatenauswertungen, sog. Regressionsanalysen gewonnen wurden, siehe Abschnitt 4.2.3. Darüber hinaus geben Handbücher auch funktionale Zusammenhänge zwischen Ausfallrate und Einflussfaktoren, wie z.B. Temperatur- oder Vibrationsbelastung, wieder. Diese Zusammenhänge sind meist physikalisch motiviert, vgl. Abschnitt 4.2.2.

Die Qualität der Regressionsanalysen kann durch Korrelationsanalysen bestimmt werden, vgl. Abschnitt 4.2.4.

4.2.1 Auswertung von Feld- oder Testdaten

Bei der empirischen Auswertung von Feld- oder Testdaten wird die durchschnittliche Ausfallrate der Komponente, ggf. aber auch des gesamten Systems, aus den Defekten im Feld unter realen Bedingungen (Felddaten) oder im Labor unter simulierten Bedingungen (Testdaten) ermittelt.

Häufig liegen bei den simulierten Bedingungen die Belastungen (z.B. Temperatur, Vibrationen) wesentlich höher als unter den realen Bedingungen. Dadurch wird die Ausfallrate erhöht und somit die Zeit, die für das Testen benötigt wird, gesenkt.

Das Verhältnis zwischen Ausfallrate unter simulierten Bedingungen und Ausfallrate unter realen Bedingungen wird auch als Beschleunigungsfaktor (acceleration factor) bezeichnet. Dieser Faktor ist abhängig von der Belastungsstärke und folgt typischerweise einer physikalischen Funktion von Belastungsparametern. Er wird üblicherweise durch physikalische Fehlermodellierung mit Degradationsmodellen ermittelt. Detaillierte Informationen über dieses Testverfahren sind in (Amster & Hooper, 1983; Bogdanoff & Kozin, 1985; Lu, 1993; Meeker & Escobar, 1998; Nelson, 1981) zu finden.

4.2.2 Physikalisch motivierte Parametrisierung des Ausfallverhaltens

Neben dem Degradationsmodell lässt sich die physikalische Fehlermodellierung weiter unterteilen in SSI-Modellierung (Stress-Strength-Interference), bei der die Komponente als zuverlässig gilt, sofern die Belastung (Stress) nicht die Belastbarkeit (Strength) übersteigt. Dabei werden Belastung und Belastbarkeit als stochastische Funktion mit bestimmter Verteilung um einen Erwartungswert angenommen. Typischerweise wird diese Art der Modellierung bei mechanischen Komponenten angewendet (z.B. Kugellager) um Ausfälle durch Überbelastung und Materialermüdung zu vermeiden (Boehm, 1992; Hooke, 1987; Kapur & Lamberson, 1977; Melchers, 1992; Rao, 1992; Tang, 1995; Wasserman, 2003; Wen & Chen, 1989).

Ebenso in den Bereich der physikalischen Fehlermodellierung fallen Modellierungen zur Dauerhaltbarkeit von Komponenten (Damage accumulation modeling). Dabei wird Materialermüdung als Ausfallursache gesehen, hervorgerufen durch zyklische oder variable (mechanische) Belastung, deren Größe weit unterhalb der materialspezifischen Belastungsgrenze (Streckgrenze) einzuordnen ist (Coffin, 1954; Kapur & Lamberson, 1977; Miner, 1945; Rao, 1992; Shigley, 2001).

Materialermüdung beginnt üblicherweise mit einem mikroskopisch kleinen Rissansatz. Da sich, aufgrund des Risses, der Materialquerschnitt verkleinert, entsteht an dieser Stelle eine Spannungskonzentration, welche die weitere Ausbreitung des Risses begünstigt. Materialermüdung tritt bei elektronischen Geräten häufig an Lötstellen, verschweißten Drähten oder verkupferten Leiterplatten auf (Dasgupta, 1993).

4.2.3 Bestimmung der Variablen

Die Modelle der Handbücher zur Zuverlässigkeitsvorhersage basieren nach (IEEE- Guide, 2003) auf einer Korrelations- und Regressionsanalyse von Feld- oder Testdaten. Das Ziel solcher Analysen ist es, einen Zusammenhang zwischen der allgemeinen Ausfallrate einer Komponente [Abbildung in dieser Leseprobe nicht enthalten], den Anpassungsfaktoren [Abbildung in dieser Leseprobe nicht enthalten] und der vorhergesagten Ausfallrate [Abbildung in dieser Leseprobe nicht enthalten] herzustellen, vgl. Abschnitt 4.1.2.

Hier wird zunächst ein Überblick über das schrittweise Vorgehen gegeben, das in den Abschnitten 4.3 bis 4.4 ausführlicher beschrieben wird.

Vorerst müssen die Daten aus dem Feld, aus (beschleunigten) Experimenten, aus Simulationen, etc. gesammelt und aufbereitet werden, siehe das Beispiel in Abschnitt 4.3.2.

Jetzt ist es im ersten Schritt zur Bestimmung von Einflussfaktoren möglich, eine Matrix aufzustellen, die die relevanten Variablen, zum einen die abhängige Variable (natürliche Ausfallrate [Abbildung in dieser Leseprobe nicht enthalten]), als auch eine unabhängige Variable enthalten soll (siehe Tabelle 4.3). Letztere besteht aus einem Faktor, der z.B. den Typ, die Bauteilart, die Qualität, die Umgebungstemperatur oder die Belastung des Bauteils widerspiegeln kann.

Im zweiten Schritt folgt eine oder mehrere lineare Regressionsanalysen der Daten, bei der die errechnete Ausfallrate als Funktion einer oder mehrerer Variablen und der allgemeinen Ausfallrate angenommen wird, vgl. Abschnitt 4.3.4 und 4.3.5.

Alternativ ließen sich der zweite Schritt durch eine multiple Regressionsanalyse ersetzen, vgl. Abschnitt 4.4.

Die Variablen aus Gleichung (4.1) lassen sich in drei Gruppen einteilen (Binroth, Coit, Denson, & Hammer, 1984):

- Die allgemeine oder natürliche Ausfallrate [Abbildung in dieser Leseprobe nicht enthalten]: Sie ergibt sich anhand schrittweiser Berechnung der quantitativen Anpassungsfaktoren [Abbildung in dieser Leseprobe nicht enthalten] durch Regressionsanalysen. Hierbei wird [Abbildung in dieser Leseprobe nicht enthalten] als variabel angesehen und gilt als freier Parameter der Regressionsfunktion. [Abbildung in dieser Leseprobe nicht enthalten] ergibt sich durch Normierung der Anpassungsfaktoren [Abbildung in dieser Leseprobe nicht enthalten], die bei einer bestimmten Einflusshöhe einen vordefinierten Wert besitzen. Ausnahmen gelten bei Einflüssen, die zeitlich variabel sind, beispielsweise stetiges Wachstum durch Qualitätsverbesserungen, siehe Abschnitt 4.3.2. Hier wird die natürliche Ausfallrate [Abbildung in dieser Leseprobe nicht enthalten] auf einen konstanten Wert genormt und die Anpassungsfaktoren werden bestimmt.

- Quantitative Anpassungsfaktoren [Abbildung in dieser Leseprobe nicht enthalten]: Sie sind gekennzeichnet durch einen stetigen Funktionsverlauf und beschreiben den funktionalen Zusammenhang zwischen der Einflussgröße und ihrer Auswirkung auf die Zuverlässigkeit. Quantitative Anpassungsfaktoren können nur gebildet werden, falls die Einflussgröße in physikalischen Einheiten messbar ist (z.B. Temperatur, elektrische Belastung, Luftfeuchte).

- Qualitative Anpassungsfaktoren [Abbildung in dieser Leseprobe nicht enthalten]: Sie besitzen im Gegensatz zu den quantitativen Anpassungsfaktoren keinen stetigen Funktionsverlauf. Stattdessen besteht ein qualitativer Anpassungsfaktor aus diskreten Werten, da sein Einfluss

physikalisch nicht eindeutig messbar ist oder er aus mehreren Einflüssen besteht, deren Zusammenhänge zu komplex und nicht durchschaubar sind. Qualitative Anpassungsfaktoren spiegeln Mittelwerte des Prüfungsumfangs bei gegebener Bedingung wider. Sie werden ebenso wie die quantitativen Anpassungsfaktoren auf einen vordefinierten Wert genormt. Beispiele qualitativer Einflüsse sind die Abhängigkeit der Zuverlässigkeit von den Funktionstests (Screening Levels), die während der Produktion durchgeführt wurden, oder dem Einbauort der Komponente am Produkt.

4.2.4 Korrelationsanalysen

Mit Hilfe von Korrelationsanalysen kann festgestellt werden, welche der gesuchten Größen sich als unabhängige Variable zur Darstellung von Einflussfaktoren eignet, siehe auch Abschnitt 4.3.3.

Nach (Joachim, 1999) wird der empirische Korrelationskoeffizient gebildet durch

Abbildung in dieser Leseprobe nicht enthalten

Mit den empirischen Erwartungswerten der Messreihe

Abbildung in dieser Leseprobe nicht enthalten

Der Korrelationskoeffizient nimmt Werte zwischen -1 und +1 an, wobei der Wert

+1 (bzw. -1) einen vollständig positiven (bzw. negativen) linearen Zusammenhang zwischen den betrachteten Merkmalen wiedergibt. Für Werte um den Nullpunkt ergibt sich eine schwache, für [Abbildung in dieser Leseprobe nicht enthalten] gar keine stochastische Abhängigkeit, siehe Abbildung 4.2.

Abbildung in dieser Leseprobe nicht enthalten

Abbildung 4.2: Mögliche Abhängigkeiten zwischen den Merkmalen X und Y.

Soll beispielsweise die Abhängigkeit der Zuverlässigkeit vom Luftdruck und Temperatur untersucht werden und es liegen nur Daten von Komponenten vor, die entweder in Räumen, also auf Zimmertemperatur und 1 bar Luftdruck, oder in Flugzeugen unter niedrigerem Luftdruck und geringerer Temperatur betrieben wurden, so wäre die Korrelation der beiden Einflussgrößen vermutlich sehr hoch. Denn der Zusammenhang zwischen Luftdruck p und Temperatur T wird durch die Höhenformel beschrieben (Roedel, 2000),

Abbildung in dieser Leseprobe nicht enthalten

[...]

Ende der Leseprobe aus 136 Seiten

Details

Titel
Zuverlässigkeitsvorhersage elektronischer Komponenten unter mechanischer Belastung
Untertitel
Modelle, Standards, Vergleich, Softwaretools
Hochschule
Hochschule für angewandte Wissenschaften Kempten  (Fraunhofer Institut)
Veranstaltung
Qualitätsmanagement
Note
1,0
Autor
Jahr
2008
Seiten
136
Katalognummer
V122097
ISBN (eBook)
9783640276417
Dateigröße
3175 KB
Sprache
Deutsch
Schlagworte
reliability prediction, MIL-HDBK-217, SAE (PREL), Telcordia (SR-332), CNET (RDF2000), Siemens (SN 29500), GJB/Z 299, PRISM, 217Plus, FIDES, FIT, MTBF, MTTF, Zuverlässigkeit, MIL 217, RELEX, IEC 62380, UTE C80-810, Reliability Workbench, Isograph, ITEM, RAM-Commander, Reliability Studio
Arbeit zitieren
Daniel Glose (Autor:in), 2008, Zuverlässigkeitsvorhersage elektronischer Komponenten unter mechanischer Belastung, München, GRIN Verlag, https://www.grin.com/document/122097

Kommentare

  • Noch keine Kommentare.
Blick ins Buch
Titel: Zuverlässigkeitsvorhersage elektronischer Komponenten unter mechanischer Belastung



Ihre Arbeit hochladen

Ihre Hausarbeit / Abschlussarbeit:

- Publikation als eBook und Buch
- Hohes Honorar auf die Verkäufe
- Für Sie komplett kostenlos – mit ISBN
- Es dauert nur 5 Minuten
- Jede Arbeit findet Leser

Kostenlos Autor werden